Tandem solar cell (Perovskite-CIGS)
ITF Japan 2024
Tenure track

Imec is the world's leading independent nanoelectronics R&D hub. The combination of our talent, infrastructure, and partner network enables breakthroughs towards microchips that are smaller, faster, more affordable, and more sustainable. We combine that nanotech expertise with data and AI to create and support applications for a smarter, better future.

Expertise
Expertise

With firm roots in nanoelectronics, our portfolio covers a range of hardware and software technologies.

Dive into our expertise

Research
Research

In our 12,000 m² cleanrooms and state-of-the-art labs, we’re taking nano- and digital technology to the next level.

Join our research

Development
Design and foundry services

Building on our infrastructure and expertise, our partners are able to accelerate their digital innovation.

Tap into our resources

Venturing
Venturing & start-ups

Our venturing ecosystem supports tech entrepreneurs by providing them with funds and knowhow.

Boost your start- or scale-up

Solutions
Solutions

A selection of our mature technologies is available for immediate application in research and industry.

Explore our solutions

Applications
Applications

Get inspired by an overview of the ways in which imec’s technologies can transform your industry.

Get inspired by our applications

Green IC industry white paper
Sustainability

Take a look at how the goal of a sustainable future guides our research and our internal organization.

Discover our Sustainable Development Goals

PR ACP

Press release

10 October 2024

Arm, ASE, BMW Group, Bosch, Cadence, Siemens, SiliconAuto, Synopsys, Tenstorrent and Valeo
Star automotive semiconductors

Uniting the automotive semiconductor ecosystem around technology innovation and talent development.

PR SOLiDIFY

Press release

19 September 2024

Towards a sustainable and globally competitive battery value chain in Europe.
Proteomics technology

Vision

Investments and technological developments indicate we're on the verge of a proteomics revolution.
Meet imec fellow Jan Craninckx

Testimonial

"In order to collaborate effectively with colleagues, honesty is the key to success."
PR High-NA first exposure

Press release

7 August 2024

Results confirm readiness of the High NA EUV patterning ecosystem for enabling future logic and memory use cases.
PR HSI sensor

Press release

5 August 2024

New process technology enables low-variability sensors with uniform light sensitivity.
Chiplets

Longread

Testing the limits: standardization and optimization of chiplet test protocols.
Article ESD protection

Longread

Guidelines for protecting the internal I/O interfaces from electrostatic discharge during die or wafer bonding steps.
Si spin qubits manufactured with state-of-the-art 300mm integration flows.

Press release

23 July 2024

The results highlight the maturity of 300mm fab-based qubit processes ultimately enabling large-scale quantum computers.
Chiplets

Longread

Bridging the gap: innovations in chiplet interconnect technology.
Imec 40 years

Publications

Explore the scientific achievements of imec’s researchers, including those at affiliated university research groups.

Visit the publication repository

alt

Subscribe to our thematic newsletters

Sign up

Looking for information about imec's activities in Flanders (in Dutch)?

Visit imec.be