2021 certainly had its challenges, and the semiconductor industry was no exception. The ripples of the COVID-19 pandemic continued to cause chip shortages. And supply chain issues that will likely continue well into 2022. Nevertheless, the sector rebounded quickly and even continued on a growth trajectory. It adapted to the ‘new normal’ where technology plays a leading role. In addition, imec successfully embraced a hybrid working style. All while keeping cleanrooms and labs up and running. Not only that, imec presented impressive achievements over the year!
We believe that technological advances are needed now more than ever. Semiconductors are at the heart of modern technology. They will be crucial to leaving the crisis behind and leading us in post-COVID times. We will continue to operate at the forefront of innovation, through core advancements, medical breakthroughs, supporting a sustainable future, or numerous other areas touched by technology.
Here, we look back on the highlights of 2021. And look forward to the vast opportunities 2022 will undoubtedly bring.
Our shortlist of 14 Highlights:
- Imec pushes semiconductor scaling forward
- Imec’s Compute and System Architecture activity laid out an ambitious strategy
- Imec makes great strides on the path towards a sustainable future
- Imec fights the pandemic with cutting-edge technology
- Imec and key stakeholders boost therapeutic developments in healthcare
- Imec develops a disruptive roadmap on health, food, and nutrition
- Imec lifts its unique sensor and actuator technology to system-level innovations
- Imec pushes GaN-based power electronics forward
- Imec harnesses the strengths of silicon photonics
- Imec bolsters connectivity and communication
- Imec makes strides in quantum technologies
- Imec strengthens support for deep-tech ventures
- Imec supports your ideas
- We are imec
1. Imec pushes semiconductor scaling forward
One of imec’s core activities revolves around technology for pushing semiconductor scaling forward. While Moore’s Law continues, imec pushes the boundaries of advanced patterning techniques. EUV is the motor of many breakthroughs that will eventually progress the roadmap from the nm to the Å regime. Both logic and memory manufacturers are increasingly considering using EUV lithography to meet the high-density requirements for future devices. That’s why imec continued to push the single-print capability of the EUV scanner. At the 2021 SPIE Advanced Lithography Conference, imec and ASML demonstrated 28nm pitch single-exposure patterning readiness for lines/spaces. This corresponds to critical back-end-of-line (BEOL) metal layers of a 5nm logic technology node. The results bring the current scanner close to its resolution limit for high-volume manufacturing, which is around 13nm (26nm pitch). Simultaneously, imec successfully looked at alternative patterning approaches to complement and further extend the photolithography-based patterning. During the same conference, for the first time, they showed pattern lines/spaces with a pitch as small as 18nm. They were obtained through directed self-assembly (DSA), a bottom-up patterning technique.
When moving to smaller nodes, EUV will be expected to rely on multi-patterning techniques to provide sufficient resolution. Additional exposures, however, weigh heavily on cycling time and wafer cost. The new milestone in EUV lithography will be marked by the arrival of the first high-numerical-aperture (high-NA) EUV lithography scanner. It's projected to print the most critical features of 2nm (and beyond) logic chips in a smaller number of patterning steps. Imec strongly believes in the innovation this tool will spur. That's why it invested in a joint high-NA lab, together with partner ASML. The high-NA systems will be linked to a coat-and-develop track and surrounded with metrology equipment. The program is projected to start in 2023, with high-volume manufacturing in 2026. But imec is already laying the foundations to accelerate the development of the high-NA patterning ecosystem on 300mm wafers. At the beginning of this year, they reported the first-time use of a 13.5nm High Harmonic Generation source to print 20nm pitch line/spaces. This was achieved using interference lithographic imaging of an Inpria metal-oxide resist under high-NA conditions. The interference tool would be used to explore the fundamental dynamics of photoresist imaging. And to provide patterned 300mm wafers for process development before the first 0.55 high-NA EXE5000 prototype from ASML becomes available.
Dimensional scaling alone will not be sufficient to provide all system benefits. Hence, imec has also placed strong emphasis on developing new materials and devices, and on system-level co-optimization. While nanosheet transistors are slowly taking over from traditional FinFETs in high-volume manufacturing, imec recently introduced a new type of device architecture, the forksheet. A fully functional integrated forksheet FET was demonstrated at the 2021 Symposia on VLSI Technology and Circuits (VLSI 2021). There, it was the top-rated paper. Imec sees this as the most promising device architecture to extend the gate-all-around nanosheet device generation with additional scaling and performance beyond the 2nm technology node. Scaling down the logic technology roadmap to 1nm and beyond will also require the introduction of new conductor materials in the most critical layers of the BEOL. At the 2021 International Interconnect Technology Conference (IITC 2021), imec presented an experimental study of Al-based binary compounds. The focus was on their resistivity behavior. These results supported their promise to be used as new conductors in advanced semi-damascene interconnect integration schemes. There, they can be combined with airgaps for improved performance. Imec also further pushed the memory roadmap as demonstrated in several papers at the 2021 IEEE International Electron Devices Meeting (IEDM). First, they presented the practical realization of domain wall devices. These offer a complete set of components to read, write, and transport information electrically and build industry-relevant devices. Second, they revealed an (indium-gallium-zinc-oxide) IGZO-based DRAM cell. This has excellent specifications for DRAM memory applications – thanks to an optimization of the IGZO transistor architecture.
Finally, system technology co-optimization led to new 3D integration schemes. Data-intensive high-performance systems increasingly suffer from the so-called memory wall – the challenge of accessing data quickly enough. With 3D system-on-chip (3D SOC) integration, imec intends to tear down this memory wall. Following this heterogeneous integration approach, the system is automatically partitioned into separate chips that are concurrently designed and interconnected in the third dimension. In two papers at the 2021 IEEE International Electron Devices Meeting (IEDM 2021), imec argued the benefits of this approach. Further performance gains at the system level can be achieved when the backside of one of the integrated wafers is exploited for power delivery, signal routing or both. For example, backside power delivery (BSPD), where the power delivery as well as the power conversion is removed from the logic die’s frontside to its backside can help to realize 3D SOCs for high-performance applications. In five papers presented at the 2021 VLSI Symposium, imec showed its progress in developing the critical technology building blocks needed to realize backside power delivery networks. These are structural scaling boosters that further the path of Moore’s Law.
Want to know more?
- Press release: Imec Pushes Single-Exposure Patterning Capability of 0.33NA EUVL to its Extreme Limits
- Press release: Imec Demonstrates 18nm Pitch Line/Space Patterning With a High-Chi Directed Self-Assembly Process
- Longread: High-NA EUVL: the next major step in lithography
- Press release: Imec Demonstrates 20nm Pitch Line/Space Resist Imaging with High-NA EUV Interference Lithography
- Press release: Imec Reports First Electrical Demonstration of Integrated Forksheet Devices to extend Nanosheets beyond 2nm technology node
- Press release: Imec Introduces Intermetallics and Airgaps in Advanced Interconnect Metallization Schemes
- Research update: Imec brings magnetic domain wall devices closer to industrial reality
- Research update: Capacitor-less IGZO-based DRAM cell with excellent retention, endurance and gate length scaling
- Research update: Imec highlights benefits of 3D-SOC design and backside interconnects for future high-performance systems
- Longread: A view on the 3D technology landscape
- Research update: Imec demonstrates critical building blocks for a backside power delivery network
2. Imec’s Compute and System Architecture activity laid out an ambitious strategy
The focus on system-level thinking was also one of the drivers behind the new activity set up a year ago around compute and system architecture (CSA). In 2021, imec’s CSA activity established itself with a clear focus on transformative AI. It has an ambitious forward-facing plan to chase the scaling challenges for AI (artificial intelligence) in a 15-year timeframe. Going forward, CSA will look into what a 1000x means in the next five years. And into how to scale AI into contextually-aware AI-assisted operators (truly transformative AI) 15 years from now. To reach its goal, the team will take a top-down approach or a system-level perspective. That starts from the application and co-designs hardware and algorithms. In 2021, CSA already logged some important achievements. It made steps to build up its capabilities in RISC-V technology. It established a scaled-simulation modeling team capable of building IP and system models that scale into hundreds or thousands of CPUs. And finally, it put in place the necessary strategic work that will allow CSA to be forward-facing in the coming years.
3. Imec makes great strides on the path towards a sustainable future
The chip industry has a large carbon footprint. Each generation of semiconductors requires more energy, water, and greenhouse gases for its creation. Imec recognizes this reality and is committed to making sustainable choices in its activities. In 2019, we selected the Sustainable Development Goals on which to focus our research. The following year, we issued a Sustainability Report to share a transparent public statement about our ambitions and progress towards these goals. In 2021, our efforts were acknowledged with a Silver Medal from EcoVadis, the world’s largest provider of business sustainability ratings. Also that year, we set up a program designed to monitor our ecological footprint. The brand-new Sustainable Semiconductor Technologies and Systems (SSTS) research program is the first initiative rallying stakeholders from across the IC value chain to anticipate the environmental impact of choices made at chip technology’s definition phase. Earlier last year, Apple Inc joined the program as its first public partner. As part of the global fight against climate change, resources depletion and pollution, SSTS helps the IC-making industry to cut back on its ecological footprint. To achieve this, the STSS program uses concrete and reliable models, and detailed (carbon) footprint analyses. In another initiative, imec co-created the consortium Hyve, together with VITO (both partners in EnergyVille) and four industrial partners. The goal is to innovate electrolyzer technology for cost-efficient and sustainable production of hydrogen at gigawatt level. The electrolyzer technology that is under development will have a direct impact on CO2 emission in the cement, steel, and chemical industries. Hyve brings together Belgian players across the value chain for the deployment of a hydrogen economy and the transition towards a carbon neutral industry in Europe.
Want to know more?
4. Imec fights the pandemic with cutting-edge technology
In 2021, COVID-19 was still very much a part of our life. With the first vaccination rounds completed, we need to start looking for new ways to live with the virus. Booster vaccines, personal protection and hygiene measures, and sufficient ventilation are all part of that strategy. But testing will also be more important than ever. Testing allows us to continue participation in daily life activities and keeps tabs on the spread of the pandemic. In the past year, imec developed a breath sampler based on its unique chip technology. It can capture the SARS-CoV-2 virus in exhaled air and detect the viral RNA quickly and reliably with ultra-fast PCR technology, as shown in clinical tests. Imec signed a non-exclusive licensing agreement with miDiagnostics. This will enable miDiagnostics to kickstart the commercialization of a COVID-19 breathalyzer. Meanwhile, imec is investigating how its patented technology could also be applied to diagnose other infectious airborne diseases. We welcome interested companies to join this effort.
In another initiative ETRO, an imec research group of VUB, together with UZ Brussel, KU Leuven and icometrix, successfully rolled out icolung in 800 hospitals in Europe. Icolung is cloud-based AI software for the analysis of lung CT scans. It can detect COVID-19 patients at an early stage and quantify the extent of lung lesions.
5. Imec and key stakeholders boost therapeutic developments in healthcare
These are challenging times for the health sector. The pandemic exposes the bottlenecks in manufacturing and the need for fast and cheap diagnostic tools. The growing population also places a lot of pressure on the healthcare system and therapeutic developments. Imec is leveraging the strengths of nanotechnology to face these issues. It's working with all relevant players in the field, such as pharmaceutical and healthcare companies as well as hospitals. Recently, imec signed a collaboration with GSK, a multinational pharma company whose R&D headquarters are in Belgium. Together, they emphasize the ambition to create disruption in the pharmaceutical sector. The partnership will explore how nanotechnology can accelerate the development and production of new vaccines through highly parallelized solutions. And how it can be used to automate and improve control of manufacturing processes. With the advent of new advanced therapies such as gene and cell therapy, manufacturing needs are becoming more complicated. The collaboration with Sarcura, an Austrian early-stage technology startup early last year, underpinned the importance of chip technology for optimizing traditional workflows. The partners set out to develop a silicon chip-based prototype of a cytometer for automated cell separation and real-time quality control to tackle the manufacturing challenges in cell and gene therapy.
Also in 2021, imec researchers presented a proof-of-concept for determining cardio-vascular risk markers and monitoring blood pressure more accurately. The method is based on imec’s ultrasound technology. It could potentially improve the practicality of clinical cardiovascular risk assessment in the GP’s office because the ultrasound sensor could be scaled to the size of a portable or wearable device
On the research side, imec welcomed the next generation of Neuropixels brain probes. This game-changer in neuroscience enables researchers to track neurons over weeks and at very high precision. And it paves the way to a better understanding of brain functioning.
Another way imec impacts life science technology is through software optimization. Genome sequencing is an important step towards understanding the genome. But it's still not used in daily practice because it is slow and requires expensive resources. Last year, scientists at imec’s ExaScience Life Lab presented the innovative software platform elPrep5. This significantly speeds up this process because it parallelizes and merges the execution of the sequencing pipeline steps. All while minimizing the number of data accesses to files.
While we’re evolving towards more personal solutions, data become more and more important. Medical data is gathered everywhere. From your smartwatch to your insurance company and your physician. Unfortunately it frequently remains in those silos. Pooling data may yield valuable insights on your personal health or even help doctors in diagnosing illnesses. If artificial intelligence (AI) could be trained on these large amounts of data, it could have a profound impact on the future of medicine. However, practical, business, IP, or legal reasons make sharing impossible or highly controversial. Last year, imec made a strong case in favor of sharing data. And we showed that rhis can be achieved in a privacy-preserving way (PAML, privacy-preserving amalgamated machine learning).
Want to know more?
- Press release: GSK and imec to collaborate on disruptive innovation in pharma
- Press release: SARCURA and imec to collaborate on high throughput cytometry solution for automated (T-)cell separation, tackling manufacturing challenges of cell and gene therapies.
- Press release: Imec demonstrates an ultra-sensitive, small optomechanical ultrasound sensor in silicon photonics
- Press release: Latest Neuropixels probes can track neurons over weeks
- Research update: The next-generation of Neuropixels brings us closer to understanding the brain
- Research Update: Software optimizations make variant calling 8 to 16 times faster
- Blog: New privacy-preserving AI can have a profound impact on the future of medicine
6. Imec develops a disruptive roadmap on health, food, and nutrition
In 2021, imec laid out a disruptive roadmap on health, food, and nutrition. The OnePlanet Research Center is a multidisciplinary collaboration between imec, Radboud University, Radboudumc and Wageningen University and Research. It bridges the gap between application and technology for agrifood and health. The focus for health is set on the gastro-intestinal system, linking nutrition and health. The key innovation is an ingestible technology platform featuring ingestible sensors for sensing and sampling. These sensors will be location-aware and minimally invasive. They would measure inflammation- and digestion-related biomarkers, or take samples in the large and small intestine. Data collected directly from the gut will be communicated to a digital platform where data science and digital models will be combined to create personalized predictive models. The industry can take part in the research programs where multiple trials will be initiated to accelerate research and digital twin development for the gut and microbiome. This year, OnePlanet developed and started to validate an ingestible to measure protein fermentation.
For agriculture and food, the focus is on autonomous indoor farming and controlled environment agriculture, such as orchards and greenhouses. In a new sensing program, the roadmap foresees novel sensing tools and digital applications for unmet needs. An example is greenhouse climate measurements with high spatial resolution or crop parameters. Non-destructive sensing would allow to monitor the freshness of the crops and their sugar content, or to detect diseases. OnePlanet will use its data science expertise and domain knowledge to obtain useful insights to confirm the potential of digital twin models in the agro-food industry. Together with industry partners, tools and digital applications will be created to close the loop and take measures in an efficient, non-destructive way. All with the goal of working towards a sustainable planet.
Want to know more?
- Longread: Technology to capture your gut feeling
7. Imec lifts its unique sensor and actuator technology to system-level innovations
Sensors and actuators are omnipresent in industry and mainstream households. Think about visual or touch interfaces and sensors inside devices to monitor all kinds of parameters. Moreover, the demand in sectors such as manufacturing, energy, and healthcare keeps growing. To answer the evolving needs, imec exploits its technology expertise to develop sensor technology platforms enabling new applications. 2021 was marked by two breakthrough innovations.
The first example is the development of a photoacoustic sensor for health applications. Imec is working on two key technological innovations: a light source and a microphone. It successfully integrated a so-called dual comb laser. That is a laser source on chip that generates a comb of ultra-narrow frequency bands that are modulateable. Imec also designed and fabricated an ultra-sensitive microphone, the vibration of which is read out optically rather than electrically. This yields an unprecedented sensitivity. This work was published in Nature Photonics and is considered best-in-class technology. Based on these two innovations, imec is now looking to build two full systems: a photoacoustic imaging sensor for deep-tissue mammography, and a photoacoustic spectrometer for glucose sensing.
The second example involves the development of an infrared imager. At the end of 2019, imec showed a new image sensor using a thin film of quantum dots to capture light in the near-infrared (NIR) and short-wavelength infrared (SWIR). This sensor would be a cost-effective alternative to the III/V semiconductor devices that are currently on the market. Then in 2021, imec made a breakthrough with this technology, on the route of taking it from lab to fab. The photodetectors underwent all process steps in the 200mm line for the first time. This marked an important step for tech transfer to industrial fabs. Imec is now ready to engage with partners to make this happen.
Want to know more?
8. Imec pushes GaN-based power electronics forward
Gallium nitride (GaN) is anticipated to be the next-generation power semiconductor. GaN-based power chips have already pushed efficiencies to record levels. But they are still mainly available as discrete components. Imec’s GaN-on-SOI technology addresses this challenge by monolithically integrating logic and analog circuits with power components onto the same die. This results in a much-improved switching speed. In 2021, imec added two very sought-after components to its portfolio. It successfully co-integrated high-performance Schottky barrier diodes and depletion-mode HEMTs on a p-GaN HEMT-based 200V GaN-on-SOI smart power integrated circuits (ICs) platform. This was recently presented at the 2021 International Electron Devices Meeting (IEEE IEDM 2021). The addition of these components enables the design of chips with extended functionality and increased performance. To make GaN-on-SOI devices and circuits more affordable and easily available to its customers, imec offers a Multi-Project Wafer (MPW) solution through EUROPRACTICE. Imec and EUROPRACTICE organized a contest last year to encourage innovation in power electronics applications using imec’s GaN technology for monolithic integration of power electronics circuits. The prize-winning project entitled “High voltage half-bridge with integrated drivers and control circuits – all Gallium Nitride” (Aachen University) was included in imec’s 650V GaN-IC Multi-Project Wafer (MPW) run. While GaN-on-SOI is compatible with 200V and 650V power devices, higher operating voltages previously required expensive silicon-carbide-based technology. Last year imec and its partner AITXRON succeeded in growing GaN buffer layers qualified for 1200V applications on 200mm QST® substrates, with a hard breakdown exceeding 1800V. The proprietary QST® substrate is compatible with existing silicon fabs, eliminating the need for costly development.
Want to know more?
- Press release: Imec demonstrates successful monolithic integration of Schottky diodes and depletion-mode HEMTs with 200 V GaN-IC
- Press release: Imec and EUROPRACTICE announce winners of 2021 GaN-IC technology design contest
- Press release: Imec and AIXTRON Demonstrate 200 mm GaN Epitaxy on AIX G5+ C for 1200V Applications with Breakdown in Excess of 1800V
9. Imec harnesses the strengths of silicon photonics
The field of photonics is growing spectacularly. With many cross-field applications such as telecommunication, health and quantum computing. And with diverse technologies such as multiple wavelengths, optical functions, materials and integration techniques. Imec has a large library of cutting-edge optical devices and reference components at its disposal. And has gained a vast expertise in developing tailored Si or SiN-based photonic ICs for a multitude of applications. Also in 2021, a few examples made headlines that show the versatility of the technology. Already mentioned see highlight 7 is the ultra-sensitive optomechanical ultrasound sensor that is currently best-in-class. For life-science applications, photonics is a match made in heaven. It enables more compact and cost-efficient tools with added functionality like small microscopes and spectrometers. In the context of an ERC Starting Grant, imec recently showed the progress on a fluorescence microscope-on-chip that allows for high-throughput experiments. In another project with Xanadu, a full-stack photonic quantum computing company, imec’s low-loss SiN photonics platform was used to develop a unique type of quantum computer based on photonic qubits. The photonic approach offers the benefits of scalability to one million qubits via optical networking, room temperature computation, and the natural ability to leverage fabrication at R&D centers such as imec.
For applications where cost is the limiting factor, wafer-scale integration of optical amplifiers is key. In 2021, imec delivered important results in this area, partnering with ASM AMICRA and Sivers. Using flip-chip bonding, it demonstrated the successful integration of InP DFB laser diodes onto a 300mm silicon photonics wafer with an alignment precision within 500nm. This enables reproducible coupling of more than 10mW of laser power into the silicon nitride waveguides on the silicon photonics wafer. This technology is available as a prototyping service, boosting the range of applications from optical interconnects to lidar and biomedical sensing.
Want to know more?
- Research update: Integrated photonics: a guiding light for next-gen MedTech tools
- Longread: A chip-scale microscope for high-throughput fluorescence imaging
- Press release: Xanadu and imec partner to develop photonic chips for fault tolerant quantum computing
- Press release: Imec Joins Forces With Sivers Photonics and ASM AMICRA to Accelerate Hybrid Integration of InP Lasers and Amplifiers with Silicon Photonics
10. Imec bolsters connectivity and communication
Connectivity is key in today’s society and will form the backbone of tomorrow’s digital economy. However, to answer to the growing demands of next-generation data communication, technology still faces tough challenges. Imec stepped up to the plate, presenting innovations on different fronts in 2021. One of the critical factors is the need to accommodate the very high bandwidths that radio frequencies beyond 100GHz enable. Imec’s advanced RF program was launched to propose scalable and energy-efficient solutions for the next-generation wireless networks. Today’s standard silicon device technology lacks the required transmit power and energy efficiency at higher radio frequencies. That's why new materials need to be explored. Imec focuses on indium phosphide and CMOS heterogeneous integration. It has established a roadmap towards enabling high-performance, low-cost, low-power, and scalable radio solutions for the rollout of 6G. Another challenge is situated at the backbone of networks. How do we transport substantial amounts of data in and out of data centers? The most performant optical links operate at speeds up to 400Gb/s. Data center operators are, however, forecasting a need for Terabit/s-capable optical transceivers within a few years from now. One option to realize these devices is to push the signaling rates well beyond 100Gbaud. Last year, at the 2021 IEEE Custom Integrated Circuit Conference (CICC), imec presented a new 120Gbaud transmitter architecture in scalable SiGe BiCMOS technology. This paves the way to cost-effective high-speed optical transceivers for the terabit era. Finally, gauging the location of devices is becoming increasingly important in modern life. But the precision we demand is far beyond the reach of GPS or Bluetooth. That’s why eyes turn to ultra-wideband (UWB) micro-location technology. This is more accurate and works indoors. Yet UWB’s power budget has limited the technology’s widespread adoption. Early last year, at the International Solid-State Circuits Conference (ISSCC), imec showcased a breakthrough impulse-radio UWB transmitter chip. It strikes a balance between UWB’s accurate and secure ranging capabilities for indoor localization and the need for increased energy efficiency. It is also the very first sub-5mW IR-UWB transmitter chip to comply with the newly released IEEE 802.15.4z standard. This allows even more accurate and secure UWB ranging measurements.
Want to know more?
- Webpage: Beyond 5G technology
- Research update: 120Gbaud SiGe BiCMOS electronics for next-generation optical interconnects
- Longread: Ultra-wideband is gaining traction: 'accuracy down to the centimeter'
- Press release: Imec Showcases World’s First Sub-5mW, IEEE 802.15.4z Ultra-Wideband Transmitter Chip
11. Imec makes strides in quantum technologies
Building large-scale quantum computers is still more of a dream than a reality. These machines promise to revolutionize computing, tackling complex problems using the power of quantum physics. But a wide range of practical challenges have hampered a successful attempt. One of the big unknowns is which qubits or quantum bits will prove to be more suitable for such a device. Imec is working on several types of solid-state qubits and marked a few breakthroughs last year. Silicon spin qubits are very promising candidates because they are compatible with the well-established processes of the microchip industry. However, one of the prerequisites for potential use in large-scale quantum computers is to achieve high uniformity in qubit arrays to lower noise and improve coupling between individual qubits. At the renowned 2021 Symposia on VLSI Technology and Circuits, imec presented an array of electron-spin qubits with high uniformity and low charge-noise levels of 3.6µ√Hz at 1Hz. This matched lab-scale results. The results were obtained in imec’s semi-industrial 300mm process flow. This paves the way for fast scale-up of devices.
Another qubit candidate for quantum technologies is a diamond’s nuclear spin. Also here, imec showed impressive progress. In a high-impact paper in Nature Communications, IMO-IMOMEC, an imec research group at the University of Hasselt, successfully demonstrated electrical readout of entangled nuclear-electron spins at room temperature on a diamond electronic chip. This result opens access to miniaturization and integration. And it avoids the need for energy-consuming cooling systems which is still a bottle-neck for scaling up.
The next level of complexity boils down to a connectivity problem. Once we establish a qubit technology, these qubits still need to be connected to each other and to external circuits and devices. With the enormous number of qubits needed for a large-scale quantum computer, this becomes an engineering nightmare. At last year’s IEDM conference, imec showed an innovative way of interconnecting neighboring silicon qubits in a 2D bilinear array. This architecture tackles the qubit connectivity problem and is a potential pathway to realize a quantum computer.
Want to know more?
- Longread: Improved quantum-device characteristics in a semi-industrial process flow
- Research update: Electrical readout of electron-nuclear spins in a diamond quantum chip at room temperature
- Research update: Connecting the (quantum) dots: A bilinear 2D device architecture for large-scale silicon-based quantum computers.
12. Imec strengthens support for deep-tech ventures
The road from a bright idea to an actual product is covered with many obstacles. To go beyond the stage of an innovative idea requires access to advanced infrastructure and expertise. And not to mention extensive funds. As a world-leading R&D center, imec is the place to be for companies, including startups, to test out new concepts and even bring technology to the market. To underpin this vision, imec significantly ramped up its venturing program the past few years. It launched imec.xpand, an independently managed value-add venture capital fund. And itcincubated over 220 startups under the framewoek of imec.istart, Europe's number one business accelerator. Last year, imec.istart even went international collaborating with Novel-T from the Dutch city of Twente and several solid fund and program partners into a fully-fledged program. The goal is to provide funding and support so that start-ups can develop and grow on a global scale.
Highlights of imec deep-tech startups and ventures created last year:
-
Axelera AI joined forces with imec to develop groundbreaking computing architecture for high-performance AI.It closed a seed investment round of $12 million joined by imec and imec.xpand.
-
Azalea Vision was incorporated with a seed investment round of 6.3M €. The company is developing smart vision solutions inside a contact lens. This offers a non-invasive solution for people who suffer from iris deficiencies and light sensitivity.
Other deep-tech startups that benefited from imec expertise and/or imec.xpand investments include:
-
Psi Quantum, a US start-up building the world’s first useful quantum computer, collaborated with imec for the development of its core optical technology and benefited from an investment of imec.xpand. With a post-D round valuation of USD 3.15 Bn this is the first unicorn in imec.xpand’s portfolio
-
One Projects, an Ireland-based medtech startup developing catheters for cardiac surgery collaborated with imec for the development of a real-time 3-D ultrasound imager to be incorporated in their catheter and benefited from an imec.xpand investment
-
NeuroGyn AG leverages imec’s expertise in implantable solutions to build a next-generation implantable device for peripheral nerve stimulation
-
Sarcura, see highlight 5
-
Xanadu, see highlight 7
Going forward, a few imec-backed startups followed up on their success story last year. Spectricity, for example, is an imec spin-off and leading provider of hyperspectral sensing solutions for mobile and consumer devices. It secured a second financing round of €14 million to further accelerate the development and mass production of hyperspectral sensors and imagers for high-volume, low-cost applications from wearables to smartphones and IoT devices.
MICLEDI Microdisplays is a leading technology company in the field of microLED displays for high-end Augmented Reality (AR) glasses. It demonstrated the industry’s first microLED arrays-for-AR built on a 300mm CMOS manufacturing platform. They successfully closed a second financing round of 7M€ to accelerate the commercialization of their technology.
Pulsify Medical is an imec spinoff developing a non-obtrusive ultrasound patch system for continuous monitoring of stroke volume & cardiac output in the ICU and home setting. It announced the second closing of their Series A financing round. That brings the total capital increase of the financing round to a value of 6.35M€.
Want to know more?
- Webpage: Services and support for deep-tech startups
- Press release: imec.istart, leading accelerator program for tech start-ups is coming to the Netherlands
- Press release: Dutch AI Semiconductor Startup Axelera AI Launches With $12 Million Seed Round
- Press release: Imec And NeuroGyn AG Collaborate On Advanced Neurostimulation Device For Pelvic Nerve Disorders
- Press release: Spectricity Raises €14 Million Series B Funding as it Accelerates Plans to Deliver Industry Leading Hyperspectral Sensing to Mobile and Consumer Devices
- Press release: MICLEDI Announces 300mm MicroLED Production Breakthrough Poised to Set the High-Water Mark for Augmented Reality Glasses
- Press release: MICLEDI Microdisplays raises EUR 7M from FPIM and KBC Focus Fund to accelerate the commercialisation of microLED displays for Augmented Reality
13. Imec supports your ideas
For many startups and SME’s the barrier to high-end tech is a tall one. But that’s where imec.IC-link comes in. The service provider backed by imec acts as a one-stop-shop for companies to develop their very own ASIC (application-specific integrated circuit) with a full suite of services from design to fabrication. Even amidst the shortages in semiconductors and semiconductor packaging, imec.IC-link reported a doubling of its volume production in 2021. They shipped a record of 12k wafers and 62 million components to their customers. The experienced ASIC development team realized almost 700 tape-outs across all supported technologies (CMOS, GaN-on-SOI, Si- and SiN- photonics) both for academic and commercial designs. During the last year a clear increase in the more advanced nodes of 22nm and beyond was achieved, taping out the first two full mask sets of 6nm.
Want to know more?
- Webpage: A proven route to ASIC development
14. We are imec
The last highlight is reserved for all imec employees, who were instrumental for all highlights and breakthroughs presented. Even in challenging times where many employees were asked to adapt to a new way of working to keep the coronavirus at bay, they pushed imec research to the cutting edge of innovation. Especially in 2021, not only technology, but also values such as well-being, diversity, and inclusivity, were more important than ever. When the virus kept us home, we kept each other motivated to stay connected and healthy. We organized imec-wide walking and running challenges that even supported cancer research. We transitioned together from a ‘new way of working’ to a ‘future way of working’ partly at home, partly on site, where work/life and personal/team balances were the focus. We worked together to strengthen the principles of inclusion and diversity in imec’s culture, so that no one felt left behind. Imec itself emphasized the importance of these values in a recent revision of its inclusion and diversity policy and launched several initiatives. We believe in diversity of all kinds, as it is the motor of our creativity and disruptive innovation. We believe in a team of over 5,000 people that enables the next generation of technology. We believe that together we can face any challenges ahead. That’s why imec would like to extend a big ‘thank you’ to all who made the 2021 highlights a reality and wish each and every one a 2022 full of new possibilities.
Want to know more?
- Longread: the CEO’s vision
Published on:
3 January 2022